[BRLTTY] Problem with speech.c

Christopher Gray chris at bayareadigital.us
Fri Sep 7 16:28:30 EDT 2007


Hmmm, that's interesting.  In ttsynth in order to get it to make properly, I 
had to link a directory that allows ttsynth_say to find eci.h.  The instruction 
and link command 
for ttsynth is:

create a symbolic link to /opt/IBM/ibmtts/inc/eci.h in the ttsynth-say 
directory:  	ln -sf /opt/IBM/ibmtts/inc/eci.h .  then do a 'make'

If this is the problem, how would I best change it?  I'm guessing that this ln 
command has made eci.h unavailable to the brltty make, right?  Would issuing the 
same link command from /usr/include fix this for the brltty make?

Thanks.

Chris



On Fri, 7 Sep 2007, Samuel Thibault wrote:

> Christopher Gray, le Fri 07 Sep 2007 12:53:00 -0700, a écrit :
>> gcc -Wall -g -O2 -I. -I./../.. -I. -I../.. -D_POSIX_C_SOURCE=2
>> -D_BSD_SOURCE -D_XOPEN_SOURCE=500 -DHAVE_CONFIG_H -fPIC
>> '-DDRIVER_NAME=ViaVoice' '-DDRIVER_CODE=vv' '-DDRIVER_COMMENT="text to
>> speech engine"' '-DDRIVER_VERSION=""' '-DDRIVER_DEVELOPERS=""'
>> -I/usr/include -c ./speech.c
>> ./speech.c:405: error: expected declaration specifiers or ???...??? before
>> ???ECIParam???
>> ./speech.c: In function ???reportEnvironmentParameter???:
>> ./speech.c:406: error: ???parameter??? undeclared (first use in this
>> function)
>
> This looks like the versions of your ViaVoice and brltty are
> incompatible (brltty assumes that ViaVoice declares the ECIParam type).
>
> Samuel
> _______________________________________________
> This message was sent via the BRLTTY mailing list.
> To post a message, send an e-mail to: BRLTTY at mielke.cc
> For general information, go to: http://mielke.cc/mailman/listinfo/brltty
>

----------------------------------------
Christopher Gray, President
Bay Area Digital

Promoting good health with great technology

Bay Area Digital
870 Market Street, #653
San francisco, CA 94102
Phone:  (415) 217-6667
fax:    (415) 217-6667
Email:  chris at bayareadigital.us


More information about the BRLTTY mailing list